T6963c support

GLCD Font Creator software is the ultimate solution to create personalized fonts, symbols and icons for Graphic LCDs. It generates code compatible with All mikroElektronika compilers
Author
Message
aioneru
Posts: 2
Joined: 27 Oct 2011 16:44

T6963c support

#1 Post by aioneru » 27 Oct 2011 16:47

Is there any progress on GLCD Font Creator/XGLCD for MikroElektronika compilers (I'm actually interested in version for MikroPascal for AVR but this doesn't matters too much) and T6963C based LCDs ? It seems it was promised two years ago. We are starting work on a project which requires a 240x128 touch screen but the language/compiler doesn't really matters as this is still a planning stage for a month or so and then we'll use anything that will work for us. So I am looking for an environment where I could be easily controlling such display including using different font sizes (e.g. very small like 5 pixels high and very large where only 3 characters or so are fitting on the screen) and sytles with pixel accurate position drawing. At the time the only thing I've found are MikroElektronika compilers with GLCD Font Creator/XGLCD (and this does what I want but only for 128*64 KS108 based LCDs) and CodeVisionAVR (it's still a bit too pricey, the only thing shipped is a good library for LCDs and nothing else compared to MikroElektronika libraries, plus it's the first version with support for those features so it could be buggy). Fast response would be very appreciated.

User avatar
filip
mikroElektronika team
Posts: 11874
Joined: 25 Jan 2008 09:56

Re: T6963c support

#2 Post by filip » 28 Oct 2011 08:37

Hi,

Please, have you considered using Visual GLCD software :
http://www.visualglcd.com/

It will certainly satisfy your need and project requirements.

Regards,
Filip.

aioneru
Posts: 2
Joined: 27 Oct 2011 16:44

Re: T6963c support

#3 Post by aioneru » 28 Oct 2011 14:16

Actually we are using for a AVR-based solution.

User avatar
filip
mikroElektronika team
Posts: 11874
Joined: 25 Jan 2008 09:56

Re: T6963c support

#4 Post by filip » 31 Oct 2011 09:27

Hi,

VisualGLCD supports AVR architecture and AVR compilers also.

Regards,
Filip.

Frank73
Posts: 33
Joined: 09 Jan 2012 15:56

Re: T6963c support

#5 Post by Frank73 » 02 Aug 2012 00:32

hello friends! I have had the same problem the friend they have not yet completed combertidor sources. only good for Samsung KS0108 and I think it should end this tool as their mode of work is versatile and allows changes to the source so fast.
it takes to finish and we PLS with T6963C drivers.
that way we can do the same but with VISUAL GLCD?
NEED AN INSTRUCTION OR USE THIS SAMPLE PROGRAM TO ENCOURAGE TO BUY THIS TOOL AS ME IT SEEMS TO ME THAT YOUR USE is cumbersome

User avatar
filip
mikroElektronika team
Posts: 11874
Joined: 25 Jan 2008 09:56

Re: T6963c support

#6 Post by filip » 02 Aug 2012 10:45

Hi,

Please, can you tell me which issues did you experience when using our software ?

Regards,
Filip.

Frank73
Posts: 33
Joined: 09 Jan 2012 15:56

Re: T6963c support

#7 Post by Frank73 » 04 Aug 2012 18:38

Hello! good to start with the Toshiba T6963C library functions do not work: T6963C_Set_Font_Adv
T6963C_Write_Text_Adv
these functions and should be GLCDFontCreator 1200 to change the font size or just change the font on the other we choose, but it is not. The same as I have cast with Samsung KS0107 and EPSOM_S1D13700 AND WORKS PERFECT, do not understand what happens with Toshiba. The other functions work but this, which is of vital importance not work, I'm waiting to know that these functions are not abilitadas in this library I would like to know the reason why these notable failures occur

User avatar
filip
mikroElektronika team
Posts: 11874
Joined: 25 Jan 2008 09:56

Re: T6963c support

#8 Post by filip » 06 Aug 2012 15:40

Hi,

I have used GLCD Font Creator to create Arial font (size 10) and had no problems.
Here is the code fro EasydsPIC and dsPIC30F4013, but it can be ported to any other architecture easily :

Code: Select all

#include        "__T6963C.h"

// T6963C module connections
char T6963C_dataPort at PORTB;                   // DATA port

sbit T6963C_ctrlwr  at LATF2_bit;                // WR write signal
sbit T6963C_ctrlrd  at LATF1_bit;                // RD read signal
sbit T6963C_ctrlcd  at LATF0_bit;                // CD command/data signal
sbit T6963C_ctrlrst at LATF4_bit;                // RST reset signal
sbit T6963C_ctrlwr_Direction  at TRISF2_bit;     // WR write signal
sbit T6963C_ctrlrd_Direction  at TRISF1_bit;     // RD read signal
sbit T6963C_ctrlcd_Direction  at TRISF0_bit;     // CD command/data signal
sbit T6963C_ctrlrst_Direction at TRISF4_bit;     // RST reset signal

// Signals not used by library, they are set in main function
sbit T6963C_ctrlce at LATF3_bit;                 // CE signal
sbit T6963C_ctrlfs at LATF6_bit;                 // FS signal
sbit T6963C_ctrlmd at LATF5_bit;                 // MD signal
sbit T6963C_ctrlce_Direction  at TRISF3_bit;     // CE signal direction
sbit T6963C_ctrlfs_Direction  at TRISF6_bit;     // FS signal direction
sbit T6963C_ctrlmd_Direction  at TRISF5_bit;     // MD signal direction
// End T6963C module connections

//Font Generated by MikroElektronika GLCD Font Creator 1.2.0.0
//MikroElektrnika 2011
//http://www.mikroe.com

//GLCD FontName : Arial10x10
//GLCD FontSize : 10 x 10
const unsigned short Arial10x10[] = {
   0x00,
   0x00,
   0x20,0x00,
   0x7F,0x00,
   0x0A,
   0x00,
   0x01,0x88,0x01,0x00,
   0x01,0x92,0x01,0x00,
   0x03,0x9C,0x01,0x00,
   0x05,0xA6,0x01,0x00,
   0x05,0xB0,0x01,0x00,
   0x09,0xBA,0x01,0x00,
   0x06,0xCE,0x01,0x00,
   0x01,0xD8,0x01,0x00,
   0x03,0xE2,0x01,0x00,
   0x03,0xEC,0x01,0x00,
   0x03,0xF6,0x01,0x00,
   0x05,0x00,0x02,0x00,
   0x02,0x0A,0x02,0x00,
   0x03,0x14,0x02,0x00,
   0x02,0x1E,0x02,0x00,
   0x03,0x28,0x02,0x00,
   0x05,0x32,0x02,0x00,
   0x03,0x3C,0x02,0x00,
   0x05,0x46,0x02,0x00,
   0x05,0x50,0x02,0x00,
   0x05,0x5A,0x02,0x00,
   0x05,0x64,0x02,0x00,
   0x05,0x6E,0x02,0x00,
   0x05,0x78,0x02,0x00,
   0x05,0x82,0x02,0x00,
   0x05,0x8C,0x02,0x00,
   0x01,0x96,0x02,0x00,
   0x01,0xA0,0x02,0x00,
   0x05,0xAA,0x02,0x00,
   0x05,0xB4,0x02,0x00,
   0x05,0xBE,0x02,0x00,
   0x05,0xC8,0x02,0x00,
   0x0A,0xD2,0x02,0x00,
   0x07,0xE6,0x02,0x00,
   0x06,0xF0,0x02,0x00,
   0x06,0xFA,0x02,0x00,
   0x06,0x04,0x03,0x00,
   0x05,0x0E,0x03,0x00,
   0x05,0x18,0x03,0x00,
   0x07,0x22,0x03,0x00,
   0x06,0x2C,0x03,0x00,
   0x01,0x36,0x03,0x00,
   0x04,0x40,0x03,0x00,
   0x06,0x4A,0x03,0x00,
   0x05,0x54,0x03,0x00,
   0x07,0x5E,0x03,0x00,
   0x06,0x68,0x03,0x00,
   0x07,0x72,0x03,0x00,
   0x05,0x7C,0x03,0x00,
   0x07,0x86,0x03,0x00,
   0x06,0x90,0x03,0x00,
   0x06,0x9A,0x03,0x00,
   0x05,0xA4,0x03,0x00,
   0x06,0xAE,0x03,0x00,
   0x07,0xB8,0x03,0x00,
   0x0A,0xC2,0x03,0x00,
   0x06,0xD6,0x03,0x00,
   0x07,0xE0,0x03,0x00,
   0x06,0xEA,0x03,0x00,
   0x02,0xF4,0x03,0x00,
   0x03,0xFE,0x03,0x00,
   0x02,0x08,0x04,0x00,
   0x05,0x12,0x04,0x00,
   0x06,0x1C,0x04,0x00,
   0x02,0x26,0x04,0x00,
   0x05,0x30,0x04,0x00,
   0x05,0x3A,0x04,0x00,
   0x05,0x44,0x04,0x00,
   0x05,0x4E,0x04,0x00,
   0x05,0x58,0x04,0x00,
   0x03,0x62,0x04,0x00,
   0x05,0x6C,0x04,0x00,
   0x05,0x76,0x04,0x00,
   0x01,0x80,0x04,0x00,
   0x01,0x8A,0x04,0x00,
   0x04,0x94,0x04,0x00,
   0x01,0x9E,0x04,0x00,
   0x07,0xA8,0x04,0x00,
   0x05,0xB2,0x04,0x00,
   0x05,0xBC,0x04,0x00,
   0x05,0xC6,0x04,0x00,
   0x05,0xD0,0x04,0x00,
   0x03,0xDA,0x04,0x00,
   0x05,0xE4,0x04,0x00,
   0x02,0xEE,0x04,0x00,
   0x05,0xF8,0x04,0x00,
   0x05,0x02,0x05,0x00,
   0x09,0x0C,0x05,0x00,
   0x05,0x20,0x05,0x00,
   0x05,0x2A,0x05,0x00,
   0x05,0x34,0x05,0x00,
   0x03,0x3E,0x05,0x00,
   0x01,0x48,0x05,0x00,
   0x04,0x52,0x05,0x00,
   0x05,0x5C,0x05,0x00,
   0x07,0x66,0x05,0x00,
   0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,                               // Code for char num 32
   0x01,0x01,0x01,0x01,0x01,0x01,0x00,0x01,0x00,0x00,                               // Code for char num 33
   0x05,0x05,0x05,0x00,0x00,0x00,0x00,0x00,0x00,0x00,                               // Code for char num 34
   0x14,0x14,0x1F,0x0A,0x0A,0x1F,0x05,0x05,0x00,0x00,                               // Code for char num 35
   0x0E,0x15,0x05,0x0E,0x14,0x14,0x15,0x0E,0x04,0x00,                               // Code for char num 36
   0x46,0x00,0x29,0x00,0x29,0x00,0x16,0x00,0xD0,0x00,0x28,0x01,0x28,0x01,0xC4,0x00,0x00,0x00,0x00,0x00, // Code for char num 37
   0x0C,0x12,0x12,0x0C,0x0A,0x31,0x11,0x2E,0x00,0x00,                               // Code for char num 38
   0x01,0x01,0x01,0x00,0x00,0x00,0x00,0x00,0x00,0x00,                               // Code for char num 39
   0x04,0x02,0x01,0x01,0x01,0x01,0x01,0x01,0x02,0x04,                               // Code for char num 40
   0x01,0x02,0x04,0x04,0x04,0x04,0x04,0x04,0x02,0x01,                               // Code for char num 41
   0x02,0x07,0x02,0x05,0x00,0x00,0x00,0x00,0x00,0x00,                               // Code for char num 42
   0x00,0x00,0x04,0x04,0x1F,0x04,0x04,0x00,0x00,0x00,                               // Code for char num 43
   0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x02,0x02,0x02,                               // Code for char num 44
   0x00,0x00,0x00,0x00,0x00,0x07,0x00,0x00,0x00,0x00,                               // Code for char num 45
   0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x02,0x00,0x00,                               // Code for char num 46
   0x04,0x04,0x02,0x02,0x02,0x02,0x01,0x01,0x00,0x00,                               // Code for char num 47
   0x0E,0x11,0x11,0x11,0x11,0x11,0x11,0x0E,0x00,0x00,                               // Code for char num 48
   0x04,0x06,0x05,0x04,0x04,0x04,0x04,0x04,0x00,0x00,                               // Code for char num 49
   0x0E,0x11,0x10,0x10,0x08,0x04,0x02,0x1F,0x00,0x00,                               // Code for char num 50
   0x0E,0x11,0x10,0x0C,0x10,0x10,0x11,0x0E,0x00,0x00,                               // Code for char num 51
   0x08,0x0C,0x0A,0x0A,0x09,0x1F,0x08,0x08,0x00,0x00,                               // Code for char num 52
   0x1E,0x02,0x01,0x0F,0x10,0x10,0x11,0x0E,0x00,0x00,                               // Code for char num 53
   0x0E,0x11,0x01,0x0F,0x11,0x11,0x11,0x0E,0x00,0x00,                               // Code for char num 54
   0x1F,0x08,0x08,0x04,0x04,0x02,0x02,0x02,0x00,0x00,                               // Code for char num 55
   0x0E,0x11,0x11,0x0E,0x11,0x11,0x11,0x0E,0x00,0x00,                               // Code for char num 56
   0x0E,0x11,0x11,0x11,0x1E,0x10,0x11,0x0E,0x00,0x00,                               // Code for char num 57
   0x00,0x00,0x01,0x00,0x00,0x00,0x00,0x01,0x00,0x00,                               // Code for char num 58
   0x00,0x00,0x01,0x00,0x00,0x00,0x00,0x01,0x01,0x01,                               // Code for char num 59
   0x00,0x00,0x10,0x0E,0x01,0x0E,0x10,0x00,0x00,0x00,                               // Code for char num 60
   0x00,0x00,0x00,0x1F,0x00,0x1F,0x00,0x00,0x00,0x00,                               // Code for char num 61
   0x00,0x00,0x01,0x0E,0x10,0x0E,0x01,0x00,0x00,0x00,                               // Code for char num 62
   0x0E,0x11,0x10,0x08,0x04,0x04,0x00,0x04,0x00,0x00,                               // Code for char num 63
   0xF8,0x00,0x06,0x01,0xB2,0x02,0xC9,0x02,0x45,0x02,0x45,0x02,0x65,0x01,0xD9,0x00,0x02,0x02,0xFC,0x01, // Code for char num 64
   0x08,0x14,0x14,0x14,0x22,0x3E,0x41,0x41,0x00,0x00,                               // Code for char num 65
   0x1F,0x21,0x21,0x3F,0x21,0x21,0x21,0x1F,0x00,0x00,                               // Code for char num 66
   0x1C,0x22,0x01,0x01,0x01,0x01,0x22,0x1C,0x00,0x00,                               // Code for char num 67
   0x0F,0x11,0x21,0x21,0x21,0x21,0x11,0x0F,0x00,0x00,                               // Code for char num 68
   0x1F,0x01,0x01,0x1F,0x01,0x01,0x01,0x1F,0x00,0x00,                               // Code for char num 69
   0x1F,0x01,0x01,0x0F,0x01,0x01,0x01,0x01,0x00,0x00,                               // Code for char num 70
   0x1C,0x22,0x41,0x01,0x71,0x41,0x22,0x1C,0x00,0x00,                               // Code for char num 71
   0x21,0x21,0x21,0x3F,0x21,0x21,0x21,0x21,0x00,0x00,                               // Code for char num 72
   0x01,0x01,0x01,0x01,0x01,0x01,0x01,0x01,0x00,0x00,                               // Code for char num 73
   0x08,0x08,0x08,0x08,0x08,0x09,0x09,0x06,0x00,0x00,                               // Code for char num 74
   0x21,0x11,0x09,0x0D,0x0B,0x11,0x11,0x21,0x00,0x00,                               // Code for char num 75
   0x01,0x01,0x01,0x01,0x01,0x01,0x01,0x1F,0x00,0x00,                               // Code for char num 76
   0x41,0x63,0x63,0x55,0x55,0x55,0x49,0x49,0x00,0x00,                               // Code for char num 77
   0x21,0x23,0x25,0x25,0x29,0x29,0x31,0x21,0x00,0x00,                               // Code for char num 78
   0x1C,0x22,0x41,0x41,0x41,0x41,0x22,0x1C,0x00,0x00,                               // Code for char num 79
   0x0F,0x11,0x11,0x11,0x0F,0x01,0x01,0x01,0x00,0x00,                               // Code for char num 80
   0x1C,0x22,0x41,0x41,0x41,0x59,0x22,0x5C,0x00,0x00,                               // Code for char num 81
   0x1F,0x21,0x21,0x1F,0x09,0x11,0x11,0x21,0x00,0x00,                               // Code for char num 82
   0x1E,0x21,0x01,0x06,0x18,0x20,0x21,0x1E,0x00,0x00,                               // Code for char num 83
   0x1F,0x04,0x04,0x04,0x04,0x04,0x04,0x04,0x00,0x00,                               // Code for char num 84
   0x21,0x21,0x21,0x21,0x21,0x21,0x21,0x1E,0x00,0x00,                               // Code for char num 85
   0x41,0x41,0x22,0x22,0x14,0x14,0x08,0x08,0x00,0x00,                               // Code for char num 86
   0x10,0x02,0x28,0x02,0x29,0x01,0x29,0x01,0x45,0x01,0x45,0x01,0x82,0x00,0x82,0x00,0x00,0x00,0x00,0x00, // Code for char num 87
   0x21,0x12,0x12,0x0C,0x0C,0x12,0x12,0x21,0x00,0x00,                               // Code for char num 88
   0x41,0x22,0x22,0x14,0x08,0x08,0x08,0x08,0x00,0x00,                               // Code for char num 89
   0x3E,0x10,0x08,0x08,0x04,0x04,0x02,0x3F,0x00,0x00,                               // Code for char num 90
   0x03,0x01,0x01,0x01,0x01,0x01,0x01,0x01,0x01,0x03,                               // Code for char num 91
   0x01,0x01,0x02,0x02,0x02,0x02,0x04,0x04,0x00,0x00,                               // Code for char num 92
   0x03,0x02,0x02,0x02,0x02,0x02,0x02,0x02,0x02,0x03,                               // Code for char num 93
   0x04,0x0A,0x0A,0x11,0x00,0x00,0x00,0x00,0x00,0x00,                               // Code for char num 94
   0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x3F,                               // Code for char num 95
   0x01,0x02,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,                               // Code for char num 96
   0x00,0x00,0x0E,0x11,0x1E,0x11,0x19,0x16,0x00,0x00,                               // Code for char num 97
   0x01,0x01,0x0D,0x13,0x11,0x11,0x13,0x0D,0x00,0x00,                               // Code for char num 98
   0x00,0x00,0x0E,0x11,0x01,0x01,0x11,0x0E,0x00,0x00,                               // Code for char num 99
   0x10,0x10,0x16,0x19,0x11,0x11,0x19,0x16,0x00,0x00,                               // Code for char num 100
   0x00,0x00,0x0E,0x11,0x1F,0x01,0x11,0x0E,0x00,0x00,                               // Code for char num 101
   0x04,0x02,0x07,0x02,0x02,0x02,0x02,0x02,0x00,0x00,                               // Code for char num 102
   0x00,0x00,0x16,0x19,0x11,0x11,0x19,0x16,0x10,0x0F,                               // Code for char num 103
   0x01,0x01,0x0D,0x13,0x11,0x11,0x11,0x11,0x00,0x00,                               // Code for char num 104
   0x01,0x00,0x01,0x01,0x01,0x01,0x01,0x01,0x00,0x00,                               // Code for char num 105
   0x01,0x00,0x01,0x01,0x01,0x01,0x01,0x01,0x01,0x00,                               // Code for char num 106
   0x01,0x01,0x09,0x05,0x03,0x05,0x05,0x09,0x00,0x00,                               // Code for char num 107
   0x01,0x01,0x01,0x01,0x01,0x01,0x01,0x01,0x00,0x00,                               // Code for char num 108
   0x00,0x00,0x3D,0x4B,0x49,0x49,0x49,0x49,0x00,0x00,                               // Code for char num 109
   0x00,0x00,0x0F,0x11,0x11,0x11,0x11,0x11,0x00,0x00,                               // Code for char num 110
   0x00,0x00,0x0E,0x11,0x11,0x11,0x11,0x0E,0x00,0x00,                               // Code for char num 111
   0x00,0x00,0x0D,0x13,0x11,0x11,0x13,0x0D,0x01,0x01,                               // Code for char num 112
   0x00,0x00,0x16,0x19,0x11,0x11,0x19,0x16,0x10,0x10,                               // Code for char num 113
   0x00,0x00,0x05,0x03,0x01,0x01,0x01,0x01,0x00,0x00,                               // Code for char num 114
   0x00,0x00,0x0E,0x11,0x06,0x08,0x11,0x0E,0x00,0x00,                               // Code for char num 115
   0x01,0x01,0x03,0x01,0x01,0x01,0x01,0x03,0x00,0x00,                               // Code for char num 116
   0x00,0x00,0x11,0x11,0x11,0x11,0x19,0x16,0x00,0x00,                               // Code for char num 117
   0x00,0x00,0x11,0x11,0x0A,0x0A,0x04,0x04,0x00,0x00,                               // Code for char num 118
   0x00,0x00,0x00,0x00,0x11,0x01,0x29,0x01,0xAA,0x00,0xAA,0x00,0x44,0x00,0x44,0x00,0x00,0x00,0x00,0x00, // Code for char num 119
   0x00,0x00,0x11,0x0A,0x04,0x04,0x0A,0x11,0x00,0x00,                               // Code for char num 120
   0x00,0x00,0x11,0x11,0x0A,0x0A,0x04,0x04,0x04,0x02,                               // Code for char num 121
   0x00,0x00,0x1F,0x08,0x04,0x04,0x02,0x1F,0x00,0x00,                               // Code for char num 122
   0x04,0x02,0x02,0x02,0x01,0x02,0x02,0x02,0x02,0x04,                               // Code for char num 123
   0x01,0x01,0x01,0x01,0x01,0x01,0x01,0x01,0x01,0x01,                               // Code for char num 124
   0x02,0x04,0x04,0x04,0x08,0x04,0x04,0x04,0x04,0x02,                               // Code for char num 125
   0x00,0x00,0x00,0x17,0x0D,0x00,0x00,0x00,0x00,0x00,                               // Code for char num 126
   0x00,0x7E,0x42,0x42,0x42,0x42,0x42,0x7E,0x00,0x00                                // Code for char num 127
        };

void main() {
  ADPCFG = 0xFFFF;              // Configure AN pins as digital

  T6963C_ctrlce_Direction = 0;
  T6963C_ctrlce = 0;            // Enable T6963C
  T6963C_ctrlfs_Direction = 0;
  T6963C_ctrlfs = 0;            // Font Select 8x8
  T6963C_ctrlmd_Direction = 0;
  T6963C_ctrlmd = 0;            // Column number select

  // Initialize T6963C
  T6963C_init(240, 128, 8);

  /*
   * Enable both graphics and text display at the same time
   */
  T6963C_graphics(1);
  T6963C_text(1);

  /*
   * Set font
   */
  T6963C_Set_Font_Adv(&Arial10x10, T6963C_WHITE, _T6963C_HORIZONTAL); //Arial10x10 font

  /*
   * Text message
   */
  T6963C_Write_Text_Adv("Glcd LIBRARY DEMO, WELCOME !", 10, 10);
}
Regards,
Filip.

Frank73
Posts: 33
Joined: 09 Jan 2012 15:56

Re: T6963c support

#9 Post by Frank73 » 08 Aug 2012 00:10

hello Filip!
good friend to start my problem is with mikroBasic Pro is where the problem is that I have not been solved.
this example is not very useful for those who work with such vercion MikroElectronica Compiler.
You probably work well in such MikroC and some more but for mikroBasic NO. could you give an example of how to do the same but in mikroBasic Pro would be helpful not only for me but also for those who encounter this same problem.
thanks and good day friend

User avatar
filip
mikroElektronika team
Posts: 11874
Joined: 25 Jan 2008 09:56

Re: T6963c support

#10 Post by filip » 08 Aug 2012 08:53

Hi,

Here is the Basic code also :

Code: Select all

program T6963C_240x128

include __Lib_T6963C_Consts

' T6963C module connections
dim T6963C_dataPort as byte at PORTB                       ' DATA port

dim T6963C_ctrlwr  as sbit at LATF2_bit                    ' WR write signal
dim T6963C_ctrlrd  as sbit at LATF1_bit                    ' RD read signal
dim T6963C_ctrlcd  as sbit at LATF0_bit                    ' CD command/data signal
dim T6963C_ctrlrst as sbit at LATF4_bit                    ' RST reset signal
dim T6963C_ctrlwr_Direction  as sbit at TRISF2_bit         ' WR write signal direction
dim T6963C_ctrlrd_Direction  as sbit at TRISF1_bit         ' RD read signal direction
dim T6963C_ctrlcd_Direction  as sbit at TRISF0_bit         ' CD command/data signal direction
dim T6963C_ctrlrst_Direction as sbit at TRISF4_bit         ' RST reset signal direction

' Signals not used by library, they are set in main function
dim T6963C_ctrlce as sbit at LATF3_bit                     ' CE signal
dim T6963C_ctrlfs as sbit at LATF6_bit                     ' FS signal
dim T6963C_ctrlmd as sbit at LATF5_bit                     ' MD signal
dim T6963C_ctrlce_Direction as sbit at TRISF3_bit          ' CE signal direction
dim T6963C_ctrlfs_Direction as sbit at TRISF6_bit          ' FS signal direction
dim T6963C_ctrlmd_Direction as sbit at TRISF5_bit          ' MD signal direction
' End T6963C module connections


'Font Generated by MikroElektronika GLCD Font Creator 1.2.0.0
'MikroElektrnika 2011
'http://www.mikroe.com

'GLCD FontName : Arial10x10
'GLCD FontSize : 10 x 10

const Arial10x10 as byte[1392] = (
   $00,
   $00,
   $20,$00,
   $7F,$00,
   $0A,
   $00,
   $01,$88,$01,$00,
   $01,$92,$01,$00,
   $03,$9C,$01,$00,
   $05,$A6,$01,$00,
   $05,$B0,$01,$00,
   $09,$BA,$01,$00,
   $06,$CE,$01,$00,
   $01,$D8,$01,$00,
   $03,$E2,$01,$00,
   $03,$EC,$01,$00,
   $03,$F6,$01,$00,
   $05,$00,$02,$00,
   $02,$0A,$02,$00,
   $03,$14,$02,$00,
   $02,$1E,$02,$00,
   $03,$28,$02,$00,
   $05,$32,$02,$00,
   $03,$3C,$02,$00,
   $05,$46,$02,$00,
   $05,$50,$02,$00,
   $05,$5A,$02,$00,
   $05,$64,$02,$00,
   $05,$6E,$02,$00,
   $05,$78,$02,$00,
   $05,$82,$02,$00,
   $05,$8C,$02,$00,
   $01,$96,$02,$00,
   $01,$A0,$02,$00,
   $05,$AA,$02,$00,
   $05,$B4,$02,$00,
   $05,$BE,$02,$00,
   $05,$C8,$02,$00,
   $0A,$D2,$02,$00,
   $07,$E6,$02,$00,
   $06,$F0,$02,$00,
   $06,$FA,$02,$00,
   $06,$04,$03,$00,
   $05,$0E,$03,$00,
   $05,$18,$03,$00,
   $07,$22,$03,$00,
   $06,$2C,$03,$00,
   $01,$36,$03,$00,
   $04,$40,$03,$00,
   $06,$4A,$03,$00,
   $05,$54,$03,$00,
   $07,$5E,$03,$00,
   $06,$68,$03,$00,
   $07,$72,$03,$00,
   $05,$7C,$03,$00,
   $07,$86,$03,$00,
   $06,$90,$03,$00,
   $06,$9A,$03,$00,
   $05,$A4,$03,$00,
   $06,$AE,$03,$00,
   $07,$B8,$03,$00,
   $0A,$C2,$03,$00,
   $06,$D6,$03,$00,
   $07,$E0,$03,$00,
   $06,$EA,$03,$00,
   $02,$F4,$03,$00,
   $03,$FE,$03,$00,
   $02,$08,$04,$00,
   $05,$12,$04,$00,
   $06,$1C,$04,$00,
   $02,$26,$04,$00,
   $05,$30,$04,$00,
   $05,$3A,$04,$00,
   $05,$44,$04,$00,
   $05,$4E,$04,$00,
   $05,$58,$04,$00,
   $03,$62,$04,$00,
   $05,$6C,$04,$00,
   $05,$76,$04,$00,
   $01,$80,$04,$00,
   $01,$8A,$04,$00,
   $04,$94,$04,$00,
   $01,$9E,$04,$00,
   $07,$A8,$04,$00,
   $05,$B2,$04,$00,
   $05,$BC,$04,$00,
   $05,$C6,$04,$00,
   $05,$D0,$04,$00,
   $03,$DA,$04,$00,
   $05,$E4,$04,$00,
   $02,$EE,$04,$00,
   $05,$F8,$04,$00,
   $05,$02,$05,$00,
   $09,$0C,$05,$00,
   $05,$20,$05,$00,
   $05,$2A,$05,$00,
   $05,$34,$05,$00,
   $03,$3E,$05,$00,
   $01,$48,$05,$00,
   $04,$52,$05,$00,
   $05,$5C,$05,$00,
   $07,$66,$05,$00,
   $00,$00,$00,$00,$00,$00,$00,$00,$00,$00,                                         ' Code for char num 32
   $01,$01,$01,$01,$01,$01,$00,$01,$00,$00,                                         ' Code for char num 33
   $05,$05,$05,$00,$00,$00,$00,$00,$00,$00,                                         ' Code for char num 34
   $14,$14,$1F,$0A,$0A,$1F,$05,$05,$00,$00,                                         ' Code for char num 35
   $0E,$15,$05,$0E,$14,$14,$15,$0E,$04,$00,                                         ' Code for char num 36
   $46,$00,$29,$00,$29,$00,$16,$00,$D0,$00,$28,$01,$28,$01,$C4,$00,$00,$00,$00,$00, ' Code for char num 37
   $0C,$12,$12,$0C,$0A,$31,$11,$2E,$00,$00,                                         ' Code for char num 38
   $01,$01,$01,$00,$00,$00,$00,$00,$00,$00,                                         ' Code for char num 39
   $04,$02,$01,$01,$01,$01,$01,$01,$02,$04,                                         ' Code for char num 40
   $01,$02,$04,$04,$04,$04,$04,$04,$02,$01,                                         ' Code for char num 41
   $02,$07,$02,$05,$00,$00,$00,$00,$00,$00,                                         ' Code for char num 42
   $00,$00,$04,$04,$1F,$04,$04,$00,$00,$00,                                         ' Code for char num 43
   $00,$00,$00,$00,$00,$00,$00,$02,$02,$02,                                         ' Code for char num 44
   $00,$00,$00,$00,$00,$07,$00,$00,$00,$00,                                         ' Code for char num 45
   $00,$00,$00,$00,$00,$00,$00,$02,$00,$00,                                         ' Code for char num 46
   $04,$04,$02,$02,$02,$02,$01,$01,$00,$00,                                         ' Code for char num 47
   $0E,$11,$11,$11,$11,$11,$11,$0E,$00,$00,                                         ' Code for char num 48
   $04,$06,$05,$04,$04,$04,$04,$04,$00,$00,                                         ' Code for char num 49
   $0E,$11,$10,$10,$08,$04,$02,$1F,$00,$00,                                         ' Code for char num 50
   $0E,$11,$10,$0C,$10,$10,$11,$0E,$00,$00,                                         ' Code for char num 51
   $08,$0C,$0A,$0A,$09,$1F,$08,$08,$00,$00,                                         ' Code for char num 52
   $1E,$02,$01,$0F,$10,$10,$11,$0E,$00,$00,                                         ' Code for char num 53
   $0E,$11,$01,$0F,$11,$11,$11,$0E,$00,$00,                                         ' Code for char num 54
   $1F,$08,$08,$04,$04,$02,$02,$02,$00,$00,                                         ' Code for char num 55
   $0E,$11,$11,$0E,$11,$11,$11,$0E,$00,$00,                                         ' Code for char num 56
   $0E,$11,$11,$11,$1E,$10,$11,$0E,$00,$00,                                         ' Code for char num 57
   $00,$00,$01,$00,$00,$00,$00,$01,$00,$00,                                         ' Code for char num 58
   $00,$00,$01,$00,$00,$00,$00,$01,$01,$01,                                         ' Code for char num 59
   $00,$00,$10,$0E,$01,$0E,$10,$00,$00,$00,                                         ' Code for char num 60
   $00,$00,$00,$1F,$00,$1F,$00,$00,$00,$00,                                         ' Code for char num 61
   $00,$00,$01,$0E,$10,$0E,$01,$00,$00,$00,                                         ' Code for char num 62
   $0E,$11,$10,$08,$04,$04,$00,$04,$00,$00,                                         ' Code for char num 63
   $F8,$00,$06,$01,$B2,$02,$C9,$02,$45,$02,$45,$02,$65,$01,$D9,$00,$02,$02,$FC,$01, ' Code for char num 64
   $08,$14,$14,$14,$22,$3E,$41,$41,$00,$00,                                         ' Code for char num 65
   $1F,$21,$21,$3F,$21,$21,$21,$1F,$00,$00,                                         ' Code for char num 66
   $1C,$22,$01,$01,$01,$01,$22,$1C,$00,$00,                                         ' Code for char num 67
   $0F,$11,$21,$21,$21,$21,$11,$0F,$00,$00,                                         ' Code for char num 68
   $1F,$01,$01,$1F,$01,$01,$01,$1F,$00,$00,                                         ' Code for char num 69
   $1F,$01,$01,$0F,$01,$01,$01,$01,$00,$00,                                         ' Code for char num 70
   $1C,$22,$41,$01,$71,$41,$22,$1C,$00,$00,                                         ' Code for char num 71
   $21,$21,$21,$3F,$21,$21,$21,$21,$00,$00,                                         ' Code for char num 72
   $01,$01,$01,$01,$01,$01,$01,$01,$00,$00,                                         ' Code for char num 73
   $08,$08,$08,$08,$08,$09,$09,$06,$00,$00,                                         ' Code for char num 74
   $21,$11,$09,$0D,$0B,$11,$11,$21,$00,$00,                                         ' Code for char num 75
   $01,$01,$01,$01,$01,$01,$01,$1F,$00,$00,                                         ' Code for char num 76
   $41,$63,$63,$55,$55,$55,$49,$49,$00,$00,                                         ' Code for char num 77
   $21,$23,$25,$25,$29,$29,$31,$21,$00,$00,                                         ' Code for char num 78
   $1C,$22,$41,$41,$41,$41,$22,$1C,$00,$00,                                         ' Code for char num 79
   $0F,$11,$11,$11,$0F,$01,$01,$01,$00,$00,                                         ' Code for char num 80
   $1C,$22,$41,$41,$41,$59,$22,$5C,$00,$00,                                         ' Code for char num 81
   $1F,$21,$21,$1F,$09,$11,$11,$21,$00,$00,                                         ' Code for char num 82
   $1E,$21,$01,$06,$18,$20,$21,$1E,$00,$00,                                         ' Code for char num 83
   $1F,$04,$04,$04,$04,$04,$04,$04,$00,$00,                                         ' Code for char num 84
   $21,$21,$21,$21,$21,$21,$21,$1E,$00,$00,                                         ' Code for char num 85
   $41,$41,$22,$22,$14,$14,$08,$08,$00,$00,                                         ' Code for char num 86
   $10,$02,$28,$02,$29,$01,$29,$01,$45,$01,$45,$01,$82,$00,$82,$00,$00,$00,$00,$00, ' Code for char num 87
   $21,$12,$12,$0C,$0C,$12,$12,$21,$00,$00,                                         ' Code for char num 88
   $41,$22,$22,$14,$08,$08,$08,$08,$00,$00,                                         ' Code for char num 89
   $3E,$10,$08,$08,$04,$04,$02,$3F,$00,$00,                                         ' Code for char num 90
   $03,$01,$01,$01,$01,$01,$01,$01,$01,$03,                                         ' Code for char num 91
   $01,$01,$02,$02,$02,$02,$04,$04,$00,$00,                                         ' Code for char num 92
   $03,$02,$02,$02,$02,$02,$02,$02,$02,$03,                                         ' Code for char num 93
   $04,$0A,$0A,$11,$00,$00,$00,$00,$00,$00,                                         ' Code for char num 94
   $00,$00,$00,$00,$00,$00,$00,$00,$00,$3F,                                         ' Code for char num 95
   $01,$02,$00,$00,$00,$00,$00,$00,$00,$00,                                         ' Code for char num 96
   $00,$00,$0E,$11,$1E,$11,$19,$16,$00,$00,                                         ' Code for char num 97
   $01,$01,$0D,$13,$11,$11,$13,$0D,$00,$00,                                         ' Code for char num 98
   $00,$00,$0E,$11,$01,$01,$11,$0E,$00,$00,                                         ' Code for char num 99
   $10,$10,$16,$19,$11,$11,$19,$16,$00,$00,                                         ' Code for char num 100
   $00,$00,$0E,$11,$1F,$01,$11,$0E,$00,$00,                                         ' Code for char num 101
   $04,$02,$07,$02,$02,$02,$02,$02,$00,$00,                                         ' Code for char num 102
   $00,$00,$16,$19,$11,$11,$19,$16,$10,$0F,                                         ' Code for char num 103
   $01,$01,$0D,$13,$11,$11,$11,$11,$00,$00,                                         ' Code for char num 104
   $01,$00,$01,$01,$01,$01,$01,$01,$00,$00,                                         ' Code for char num 105
   $01,$00,$01,$01,$01,$01,$01,$01,$01,$00,                                         ' Code for char num 106
   $01,$01,$09,$05,$03,$05,$05,$09,$00,$00,                                         ' Code for char num 107
   $01,$01,$01,$01,$01,$01,$01,$01,$00,$00,                                         ' Code for char num 108
   $00,$00,$3D,$4B,$49,$49,$49,$49,$00,$00,                                         ' Code for char num 109
   $00,$00,$0F,$11,$11,$11,$11,$11,$00,$00,                                         ' Code for char num 110
   $00,$00,$0E,$11,$11,$11,$11,$0E,$00,$00,                                         ' Code for char num 111
   $00,$00,$0D,$13,$11,$11,$13,$0D,$01,$01,                                         ' Code for char num 112
   $00,$00,$16,$19,$11,$11,$19,$16,$10,$10,                                         ' Code for char num 113
   $00,$00,$05,$03,$01,$01,$01,$01,$00,$00,                                         ' Code for char num 114
   $00,$00,$0E,$11,$06,$08,$11,$0E,$00,$00,                                         ' Code for char num 115
   $01,$01,$03,$01,$01,$01,$01,$03,$00,$00,                                         ' Code for char num 116
   $00,$00,$11,$11,$11,$11,$19,$16,$00,$00,                                         ' Code for char num 117
   $00,$00,$11,$11,$0A,$0A,$04,$04,$00,$00,                                         ' Code for char num 118
   $00,$00,$00,$00,$11,$01,$29,$01,$AA,$00,$AA,$00,$44,$00,$44,$00,$00,$00,$00,$00, ' Code for char num 119
   $00,$00,$11,$0A,$04,$04,$0A,$11,$00,$00,                                         ' Code for char num 120
   $00,$00,$11,$11,$0A,$0A,$04,$04,$04,$02,                                         ' Code for char num 121
   $00,$00,$1F,$08,$04,$04,$02,$1F,$00,$00,                                         ' Code for char num 122
   $04,$02,$02,$02,$01,$02,$02,$02,$02,$04,                                         ' Code for char num 123
   $01,$01,$01,$01,$01,$01,$01,$01,$01,$01,                                         ' Code for char num 124
   $02,$04,$04,$04,$08,$04,$04,$04,$04,$02,                                         ' Code for char num 125
   $00,$00,$00,$17,$0D,$00,$00,$00,$00,$00,                                         ' Code for char num 126
   $00,$7E,$42,$42,$42,$42,$42,$7E,$00,$00                                          ' Code for char num 127
        )


main:
  ADPCFG = 0xFFFF              ' Configure AN pins as digital

  T6963C_ctrlce_Direction = 0
  T6963C_ctrlce = 0            ' Enable T6963C
  T6963C_ctrlfs_Direction = 0
  T6963C_ctrlfs = 0            ' Font Select 8x8
  T6963C_ctrlmd_Direction = 0
  T6963C_ctrlmd = 0            ' Column number select

  ' Initialize T6963C
  T6963C_init(240, 128, 8)

  '/*
  ' * Enable both graphics and text display at the same time
  ' */
  T6963C_graphics(1)
  T6963C_text(1)

  '/*
  ' * Set font
  ' */
  T6963C_Set_Font_Adv(@Arial10x10, T6963C_WHITE, _T6963C_HORIZONTAL) 'Arial10x10 font

  '/*
  ' * Text message
  ' */
  T6963C_Write_Text_Adv("Glcd LIBRARY DEMO, WELCOME !", 10, 10)
end.
Regards,
Filip.

Frank73
Posts: 33
Joined: 09 Jan 2012 15:56

Re: T6963c support

#11 Post by Frank73 » 09 Aug 2012 04:18

Hello Filip if it worked perfect! This example not only going to serve Me, if not also to all who work in developments with this type of GLCD. This very clear about the method to be followed to change the font size.
thank you very much for your support without it, would not have been possible to continue.
This if the compiler and commitment to customers.

sezginkir
Posts: 2
Joined: 10 Nov 2012 01:44

Re: T6963c support

#12 Post by sezginkir » 10 Nov 2012 01:58

Hi Filip,
I'm working on a project with T6963C 240x128. I created 10x16 font with me glcd font creator. I wrote all chars but I couldn't clean what i wrote, even i tried " " space. T6963C_Box(0, 0, 239, 16, T6963C_BLACK) command works but it takes time to clear text. is there any useful way to clear text?

User avatar
filip
mikroElektronika team
Posts: 11874
Joined: 25 Jan 2008 09:56

Re: T6963c support

#13 Post by filip » 13 Nov 2012 11:34

Hi,

You could write the same text on the same position using background color thus deleting the text.

Regards,
Filip.

ismail.ytr
Posts: 5
Joined: 02 Jan 2014 09:43

Re: T6963c support

#14 Post by ismail.ytr » 02 Jan 2014 10:25

Hi filip;
I'm working on a project with STM 32F107 uC and T6963C 240x64 GLCD. I coldnt create any type font with glcd font creator. When i try to create arial10x10 font. i see an warning "font height>8 bits, only X-GLCD lib aviable" .
How we create different type (5x5 or 5x7 or 12x12 etc) font.

Please help me

regards
ismail

User avatar
filip
mikroElektronika team
Posts: 11874
Joined: 25 Jan 2008 09:56

Re: T6963c support

#15 Post by filip » 03 Jan 2014 11:14

Hi,

Please, choose the Export for TFT and new GLCD (new library) option in the Glcd Font Creator.

Regards,
Filip.

Post Reply

Return to “GLCD Font Creator Software”